Doxygen с Graphviz для документирования VHDL

Мне не удается добавить графическую визуализацию (@dot) в мои VHDL-файлы, задокументированные doxygen. Может ли кто-нибудь предоставить пример кода?

Я хотел бы добавить графическое представление fsm в свой код.

заранее спасибо


person user2173236    schedule 15.03.2013    source источник


Ответы (2)


Просто напишите точечный файл вручную:

digraph FSM {
    RESET -> IDLE
    IDLE  -> CALC [label="data_in = '1'"]
    CALC  -> DONE
    DONE  -> IDLE
}
person user2099996    schedule 16.03.2013

  1. комментарий с --!;
  2. начать с @dot и закончить с @enddot

Пример:

--! @dot
--! digraph finite_state_machine {
--!     rankdir=LR;
--!     size="8,5"
--!     node [shape = circle];
--!     S0 -> S1 [ label = "010" ] 
--!     S1 -> S0 [ label = "000" ]
--!     S1 -> S2 [ label = "111" ]
--!     S2 -> S0 [ label = "101" ]
--! }
--! @enddot
person S.Dong    schedule 26.04.2017