Что использовать для компиляции и имитации программ Verilog в Mac OS X 10.6.8?

Я должен моделировать программы Verilog как часть моей учебной программы. Но в моем колледже используется Xilinx ISE, и он недоступен для Mac.

Поэтому, пожалуйста, помогите мне с лучшим программным обеспечением, а также с подробными инструкциями по их установке и использованию.


person BharathYes    schedule 14.10.2013    source источник


Ответы (1)


Вы можете попробовать Icarus Verilog, бесплатный симулятор Verilog.

Согласно инструкциям здесь вы можете установить Icarus Verilog на Mac OS X.

person dwikle    schedule 15.10.2013