Генератор Matlab System: ошибка с черным ящиком

Я использую блоки генератора системы Xilinx в Matlab.

Я просто использую только черный ящик со входом и выходом.

Код для черного ящика очень прост и корректно работает с пакетом проектирования ISE.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;

entity test44_vhdl is
    Port ( row : in  std_logic_vector (1 downto 0);
           slice : out  std_logic_vector (3 downto 0));
end test44_vhdl;

architecture Behavioral of test44_vhdl is

type oneD is array (1 to 3) of integer range 0 to 15;
constant table: oneD := (3, 9, 13);

begin

    slice <= std_logic_vector(to_unsigned(table(to_integer(unsigned(row))), slice'length));

end Behavioral;

но, к сожалению, он не работает с системным генератором Matlab.

Я получил следующее сообщение об ошибке

Exception: ISE Simulator Simulation failed during initialization.

может ли кто-нибудь помочь мне, что не так с этим кодом и какие изменения я должен сделать, чтобы модель работала правильно


person Serwan Bamerni    schedule 14.05.2016    source источник


Ответы (1)


после того, как я несколько раз проверил проблему, я обнаружил ошибку, заключающуюся в том, что таблице массивов не присваивается значение, когда ввод равен «00».

Итак, единственное изменение, которое я должен сделать, это добавить значение в массив в 0

type oneD is array (0 to 3) of integer range 0 to 15;
constant table: oneD := (3, 9, 13, 6);

теперь модель работает корректно.

person Serwan Bamerni    schedule 14.05.2016