Мне нужно перенести среду моделирования SV с Questa на Xcelium 20.9. У меня проблемы с компиляцией файлов с помощью xmvlog
, тогда как с vlog
проблем нет.
Итак, вот что я сделал.
Убедитесь, что цепочка инструментов установлена правильно: я запустил этот простой пример с edaplayground. Это работает нормально. Я также могу видеть волны в Simvision
Попробуйте более сложный дизайн, включающий несколько классов и пакетов.
Вот где я не могу продолжить: мне не удается скомпилировать пакет. Я попытался упростить файл и извлечь минимальный нерабочий пример.
Файл выглядит так:
package test_pkg;
task wait (ref logic clock, int cycl_num);
for (int k = 0; k < cycl_num; k++) begin
@(posedge clock);
end
endtask : wait
endpackage
Итак, простой пакет с одной задачей. Запуск xrun test/test_pkg.sv
:
Здесь много ошибок. Я заметил одну вещь: добавление void
в начале объявления задачи решает некоторые из них.
После этого результат будет таким:
Проблема в определении задачи, так как я могу скомпилировать, если ее удалить.
Я также пробовал следующие варианты для xmvlog
-sv Принудительная компиляция SystemVerilog
-sysv2005 Включить только SV-2005 и более ранние ключевые слова
-sysv2009 Включить только SV-2009 и более ранние ключевые слова
Но не повезло. Есть идеи, что здесь не так? Как указать правильную версию SystemVerilog?