Вопросы по теме 'quartus'

Мультиплексор 8 x 1 в Verilog, синтаксическая ошибка 10170
Я пытаюсь создать мультиплексор 8 x 1 в Verilog. Когда я запускаю анализ и синтез кода, я все время получаю ошибку. Вот мой код: // 8 x 1 line multiplexer module KuchtaClayton_HW7_P6(output Y, input [2:0] S, input [7:0] I); assign Y = (S...
4147 просмотров
schedule 16.12.2023

Оператор ожидания должен содержать условие условия с ключевым словом UNTIL.
Следующий VHDL должен использоваться для испытательного стенда. Я продолжаю получать сообщение об ошибке в первом операторе ожидания во время анализа: «оператор ожидания должен содержать условие условия с ключевым словом UNTIL». У меня есть несколько...
11176 просмотров
schedule 03.03.2024

VHDL — прямая реализация для PLL
Я пытаюсь сделать контроллер VGA на плате DE0 и сделал следующий код: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY VGA is PORT (clk : IN std_logic; vga_hs, vga_vs : OUT...
2207 просмотров
schedule 23.10.2022

В Verilog я пытаюсь использовать $readmemb для чтения файла .txt, но он загружает в память только xxxxx (все равно)
Мне нужно загрузить память с некоторыми данными, изначально в двоичном формате. Я читал, что для этого можно использовать $readmemb, и есть даже способ сделать его синтезируемым. Итак, я создал еще один модуль с именем RAM_IN (который не является...
21416 просмотров
schedule 24.12.2023

Как использовать Tcl/Tk с VHDL
Я новичок как в VHDL, так и в Tcl/Tk. Прямой вопрос: я хочу знать, как использовать Tcl/Tk в Modelsim. Предположим, я ничего не знаю о Tcl/Tk, но у меня есть следующий код VHDL для полного сумматора, с которого можно начать. Что я могу сделать с...
490 просмотров
schedule 11.04.2024

Как получить индекс в vhdl?
Как найти индекс isW (4) в vhdl, потому что мне не нужно значение, просто индекс?
65 просмотров
schedule 03.01.2024

Предотвратить совместное использование логики сумматора
Предположим, следующий компонент VHDL: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adder is port ( iClk : in std_logic; iDataA : in unsigned(7 downto 0); iDataB : in unsigned(7...
46 просмотров
schedule 20.11.2022

Тестовый стенд с самопроверкой Verilog не запускается? Создание простого ALU, это не должно быть так сложно
Мне поручено построить ALU. Однако я не должен понимать, как должен работать тестовый стенд. Я отлично запускал другие простые тестовые стенды. код компилируется (используя quartus) сделал текстовый файл и превратил его в файл test.tv открыл...
103 просмотров
schedule 01.12.2022

Поддерживает ли Quartus синтез в памяти?
Я работаю над проектом, который генерирует большое количество компонентов. У меня проблема в том, что Quartus создает чрезвычайно большое количество файлов в каталоге /db, порядка сотен тысяч. Система, над которой я работаю, имеет ограниченное...
20 просмотров
schedule 22.09.2022