Вопросы по теме 'synthesis'

Аудиосинтезатор с непрерывной формой волны
Я начинаю писать программный синтезатор со своеобразной характеристикой: осцилляторы будут иметь ручку «непрерывной формы волны», которая позволит пользователям непрерывно выбирать синусоидальные, прямоугольные и пилообразные волны. То есть, если...
2334 просмотров
schedule 16.02.2024

Ошибка синтеза кода VHDL
Я написал код VHDL, который реализует функциональность ШИМ-контроллера. Я успешно смоделировал его, и результаты соответствуют ожиданиям. Я также проверил синтаксис для синтеза, но он не показал никаких ошибок. Когда я начал синтезировать его с...
884 просмотров
schedule 14.11.2023

Общая программа аддитивного синтеза
Я пытаюсь написать общую программу аддитивного синтеза c, которая будет генерировать сложную синусоиду, созданную из последовательности чистых синусоид произвольной частоты, следующих за одной огибающей. Входной файл будет что-то вроде этого F0...
815 просмотров
schedule 18.05.2024

симуляция уровня после ворот в modelsim
Я пытаюсь сделать симуляцию уровня после ворот для конвейерного процессора. У меня есть список соединений в формате vhdl, и теперь мне нужно снова смоделировать его, чтобы убедиться, что функциональность работает сразу после синтеза. Проблема в том,...
528 просмотров
schedule 11.11.2023

Могу ли я использовать массив «arr [x] [y]» внутри всегда блока? Является ли он синтезируемым?
always@(posedge clk) begin r00<=r01; r01<=r02; r02<=arr[x][y]; //code end будет ли это синтезировано внутри блока генерации? Кроме того, что «arr» является 2-мерным.
128 просмотров
schedule 16.11.2022

yosys терпит неудачу на прохождении ABC (в демонстрации counter.v)
Надеюсь, кто-нибудь сможет мне с этим помочь ... Это моя первая встреча с yosys. Для начала я пытаюсь запустить ту же демонстрацию, которую Клиффорд объяснил в своей презентации. Я загрузил демоверсию по следующему адресу:...
689 просмотров
schedule 06.12.2022

Предотвратить совместное использование логики сумматора
Предположим, следующий компонент VHDL: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adder is port ( iClk : in std_logic; iDataA : in unsigned(7 downto 0); iDataB : in unsigned(7...
46 просмотров
schedule 20.11.2022