Вопросы по теме 'system-generator'

Генератор Matlab System: ошибка с черным ящиком
Я использую блоки генератора системы Xilinx в Matlab. Я просто использую только черный ящик со входом и выходом. Код для черного ящика очень прост и корректно работает с пакетом проектирования ISE. library IEEE; use IEEE.STD_LOGIC_1164.ALL;...
250 просмотров