Вопросы по теме 'system-verilog-dpi'

Как передать массив из C в SV с помощью SV-DPI?
Моя цель - передать массив из C в SV и распечатать содержимое массива в SV. Я попробовал следующую программу C для преобразования некоторого текстового файла (data_file.txt) (полный источник в ссылке ниже) в массив и попытка чтобы прочитать массив с...
2968 просмотров
schedule 24.01.2024

Как стекировать информацию о трассировке в случае вызовов SystemVerilog+C DPI?
У меня есть ситуация, когда у меня есть 2 функции C. Эти функции C имеют много сценариев проверки ошибок, где я использую выход (1), чтобы отговориться. Миллион мест в системном коде Verilog вызывает эти 2 функции C через вызовы DPI. Я...
1156 просмотров

Вывод открытого массива в качестве формального аргумента в DPI-C
У меня есть код C (модель предиктора), который в результате может генерировать массив переменной длины. Перед вызовом кода C неизвестно, каков размер этого массива, и есть некоторая степень рандомизации (моделирование шума). Мне нужно вызвать эту...
1567 просмотров
schedule 23.04.2024