Вопросы по теме 'systemc'

SystemC: передача событий между модулями
Каков синтаксис в SystemC для использования событий в качестве ввода/вывода модуля. У меня есть рабочий модуль, и я хочу отправить ему событие, чтобы упредить то, что он в настоящее время делает из модуля планировщика....
2075 просмотров
schedule 07.12.2022

Определение пользовательского конструктора для модуля SystemC
У меня есть модуль SystemC, как показано ниже, и я хочу передать «карты» конструктору. Как мне это сделать? struct Detector: sc_module { map <int,int> int_map; SC_CTOR(Detector) { for (int i = 0 ; i<10; i++)...
3057 просмотров
schedule 13.11.2023

Можно ли сообщать об использовании стека для каждого модуля во время компиляции кода C++?
Я делаю моделирование на смешанных языках с помощью modelsim, часть кода написана на SystemC (C++), затем у меня возникает переполнение стека, когда я использую код SystemC. Я не уверен, как отследить эту проблему. Просто хотите проверить, можно ли...
101 просмотров
schedule 05.12.2023

SystemC — измерение и включение времени разбора файла в симуляцию systemc
У меня есть простая функция C++, которая анализирует файл CSV (10-10 тыс. строк) построчно и вставляет каждое поле в определенную структуру, массив структур, чтобы быть более конкретным. Теперь я хочу измерить время синтаксического анализа с...
181 просмотров
schedule 26.05.2024

SC_FIFO запись: Исключение первого шанса
Я написал простую программу, которая будет отправлять данные из 2D-массива из одного модуля в другой, однако она не работает, и я не знаю, почему. Вот мой код: Сервер.h #include <iostream> #include "stdafx.h" using namespace std;...
540 просмотров
schedule 03.05.2024

Sc_inout‹bool› Значение не меняется
Я пытаюсь отладить более крупную программу, которую я написал, и я извлек один из потоков, который в основном записывает массив из 24 значений в fifo, а другой конец - это еще один поток, который должен читать данные только после того, как все они...
140 просмотров
schedule 03.12.2023

Настройка SystemC-AMS с Eclipse и Cygwin — неопределенная ошибка ссылки
Я без проблем установил SystemC (2.3.2) и SystemC-AMS (2.1) под Windows 7 в Cygwin следующим образом: ./configure --with-systemc=/home/user/Workspace/systemc-2.3.2 make make install Затем я зашел в Eclipse (Photon — 4.8.0) и создал новый...
194 просмотров
schedule 27.01.2024