Вопросы по теме 'test-bench'

В Verilog я пытаюсь использовать $readmemb для чтения файла .txt, но он загружает в память только xxxxx (все равно)
Мне нужно загрузить память с некоторыми данными, изначально в двоичном формате. Я читал, что для этого можно использовать $readmemb, и есть даже способ сделать его синтезируемым. Итак, я создал еще один модуль с именем RAM_IN (который не является...
21416 просмотров
schedule 24.12.2023

Systemverilog Testbench как работать с настраиваемым количеством интерфейсов
У меня есть дизайн для проверки. Конструкция аналогична сетевому маршрутизатору. Он имеет ряд входных интерфейсов FIFO и ряд выходных интерфейсов FIFO. Таким образом, одна и та же группа интерфейсов будет повторяться снова и снова. Пример...
362 просмотров

Как использовать утверждения System-Verilog для модулей в DUT верхнего уровня
Я пытаюсь написать тестовый стенд планирования памяти и убедиться, что я обращаюсь к правильным адресам и записываю правильные значения в нужное время. Я хочу сравнить, что происходит, с сигналами внутри моего топа модуль с моим графиком, который я...
166 просмотров

Как написать код поведенческого уровня для декодера 2to4 в verilog?
Я хочу написать код уровня поведения для декодера от 2 до 4, используя цикл for в Verilog. Это то, что я пробовал, но я всегда получаю вывод как 0: module decoder2x4Beh(a,e,q); input e; input [1:0]a; output reg [3:0]q; integer int_a,i; always @(a)...
3660 просмотров
schedule 13.10.2022