Вопросы по теме 'uvm'

Приведение строк к перечислениям
Есть ли способ передать строку для перечисления в системе Verilog? typedef enum {ABC1,ABC2,ABC3} abc; program Test ; abc x1; string teststring; initial begin teststring="ABC2"; x1=abc'(teststring); // Static...
3696 просмотров
schedule 02.12.2023

Стратегия обмена сигналами между предопределенными UVC
Я пытаюсь выяснить, как подключить несколько UVC (компонентов проверки UVM) к одному и тому же тестируемому устройству, где UVC не имеют общего интерфейса, но подключаются к одним и тем же сигналам на тестируемом устройстве. ИУ, которое может...
3163 просмотров
schedule 17.11.2023

Порты УВМ: поставить, получить, экспортировать, анализ
Пытаюсь освоить в УВМ, и совсем запутался в портах УВМ. Пожалуйста, помогите лучше разобраться в портах. Так как я понял есть 3 основных типа портов Put-> get : производитель помещает данные, а потребитель получает данные. Это блокирующее...
3092 просмотров
schedule 25.01.2024

Разница между портами uvm_analysis
Не могли бы вы помочь понять функциональность и четкую разницу между: uvm_analysis_export uvm_analysis_port uvm_analysis_imp Я искал в Интернете, есть некоторые объяснения, например:...
8266 просмотров
uvm
schedule 06.12.2023

запись в регистр uvm застревает и никогда не возвращается
У меня есть некоторый блок регистра вместе с соответствующей настройкой адаптера регистра для перевода в какой-то протокол шины. Когда я вызвал метод write для одного из моих регистров, я мог видеть, что транзакция выполняется, и driver...
1118 просмотров
schedule 20.12.2023

Статическое целое число в методе класса показывает неожиданное поведение
Рассмотрим следующий код: class my_class; // *** constructor function new(string name = ""); super.new(name); endfunction // ** my_function function void my_function(); static int my_static_int = 0; my_static_int++;...
383 просмотров
schedule 12.11.2022

Вывод открытого массива в качестве формального аргумента в DPI-C
У меня есть код C (модель предиктора), который в результате может генерировать массив переменной длины. Перед вызовом кода C неизвестно, каков размер этого массива, и есть некоторая степень рандомизации (моделирование шума). Мне нужно вызвать эту...
1567 просмотров
schedule 23.04.2024

Specman - ошибка при подключении монитора к табло
Я использую e (specman) в своем проекте. Я создаю среду проверки для uart. У меня есть структура, похожая на any_sequence_item с именем uart_frame_s. Я хочу добавить табло для tx в uart. У меня есть следующий экземпляр в uart_tx_agent :...
113 просмотров
schedule 09.12.2023

Specman - BFM создается, хотя и не должен
У меня есть BFM в моем tx-агенте (без драйвера последовательности). extend uart_tx_agent_u{ uart_tx_monitor : TX uart_monitor_u is instance; uart_tx_scb: uart_tx_scoreboard_u is instance; when ACTIVE uart_tx_agent_u { uart_bfm :...
128 просмотров
schedule 12.06.2024

Виртуальный интерфейс между монитором/водителем и их BFM ??? Что они собой представляют, может кто-нибудь объяснить?
Я читал кулинарную книгу UVM и запутался в виртуальном интерфейсе между монитором, драйвером и их BFM. Означает ли это, что может быть несколько драйверов или мониторов, или это не зависит от интерфейса, который не знает ни своего монитора, ни...
443 просмотров
schedule 03.05.2024

Подключение со многих портов(в разных агентах) к одному экспорту(в табло)
У меня ситуация со многими (переносом) в один (экспорт) с моими агентами и табло. Я сомневаюсь, как мне убедиться, что только один из многих портов может записывать в экспорт в данный момент времени, чтобы у меня не было никаких осложнений или...
79 просмотров
schedule 11.12.2022