Какво да използвате за компилиране и симулиране на програми Verilog на Mac OS X 10.6.8?

От мен се изисква да симулирам програми на Verilog като част от моята учебна програма. Но моят колеж използва Xilinx ISE и той не е наличен за Mac.

Така че, моля, помогнете ми с най-добрия софтуер, както и някои подробни стъпки как да ги инсталирам и използвам.


person BharathYes    schedule 14.10.2013    source източник


Отговори (1)


Можете да опитате Icarus Verilog, който е безплатен симулатор на Verilog.

Съгласно инструкциите тук можете да инсталирате Icarus Verilog на Mac OS X.

person dwikle    schedule 15.10.2013