FPGA BRAM Stack Implementation Xilinx 7-Series

Създавам стек, базиран на artix-7 плат на zynq soc. За да създам стека, искам да използвам BRAM, имам проблем, че изходът за четене на BRAM не се променя, използвал съм BRAMS много пъти преди (не 7-серия, така че може да пропускам нещо фино) и съм напълно объркан защо прави това.

Напълних стека със стойности: 1, 2 ,3

Когато след това извикам последователно pop, единствената стойност, която прочита, е 3 за всеки адрес за изскачане и четене (дори след изчакване на забавянето на четене от един часовник). Опитах също с ram с двоен порт и имах същия проблем, придържам се към един порт, тъй като е по-лесно да опитам и да открия какво се обърка!

Проверих логическото поведение, използвайки RAM, базиран на масив, който има правилното поведение. За проверка също проверих логиката от този източник: http://vhdlguru.blogspot.co.uk/2011/01/implementation-of-stack-in-vhdl.html.

Така че проблемът изглежда е в BRAM, или той не чете правилно, или по някаква причина записва стойността 3 на всички предишни адреси на паметта, което няма смисъл, тъй като всеки елемент от данни се синхронизира със сигнал за запис и правилен адрес.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use IEEE.numeric_std.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;

-- Stack implementation for 32 bit data items using BRAM componenets
entity stack_32_BRAM is
    generic( ADDR : integer :=32);
    Port ( clk : in  STD_LOGIC;
           rst : in  STD_LOGIC;
           en : in  STD_LOGIC;
           push_pop : in  STD_LOGIC;
           data_in : in  STD_LOGIC_VECTOR (31 downto 0);
           data_out : out  STD_LOGIC_VECTOR (31 downto 0));
end stack_32_BRAM;

architecture Behavioral of stack_32_BRAM is

COMPONENT BRAM_32_1K
  PORT (
    clka : IN STD_LOGIC;
    rsta : IN STD_LOGIC;
    wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
    addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
    clkb : IN STD_LOGIC;
    rstb : IN STD_LOGIC;
    web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
    addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
  );
END COMPONENT;

COMPONENT BRAM_32_1K_SP
  PORT (
    clka : IN STD_LOGIC;
    rsta : IN STD_LOGIC;
    wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
    addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
    douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
  );
END COMPONENT;

--The read ptr is a function of the write ptr
signal stack_ptr_read, stack_ptr_write : std_logic_vector(ADDR-1 downto 0) := (others =>'0');
signal full, empty : std_logic := '0';

 signal     WEA : std_logic_vector(3 downto 0) :=(others=>'0');                      -- 4-bit input: A port write enable
 signal         addra, addrb, dinb, doutb, dina, douta : std_logic_vector(31 downto 0) := (others => '0');
 signal         rsta, rstb :std_logic := '0' ; 

 type ram is array (4 downto -2) of std_logic_vector(31 downto 0) ;
 signal mem : ram :=(others=>(others=>'0'));

begin

 ---STACK LOGIC ---

 PUSH : process (clk, push_pop, en, full, empty)
    begin
        if(clk'event and clk='1') then
        WEA <= "0000";          
            if(en='1' and push_pop = '1' and full = '0') then
                mem(to_integer(unsigned(stack_ptr_write))) <= data_in;
                WEA <= "1111";  
                dina <= data_in ;
                ADDRA <= stack_ptr_write;
                stack_ptr_write <= stack_ptr_write + 1; 
            elsif(en='1' and push_pop = '0' and empty = '0') then   
                data_out <= douta ;--
                doutb <= mem(to_integer(unsigned(stack_ptr_write - 1)));
                ADDRA <= stack_ptr_write - 1;
                stack_ptr_write <= stack_ptr_write - 1;
            end if;
        end if;
    end process;

BRAM_SP : BRAM_32_1K_SP
  PORT MAP (
    clka => clk,
    rsta => rsta,
    wea => wea,
    addra => addra,
    dina => dina,
    douta => douta
  );






end Behavioral;

Много благодаря Сам


person Sam Palmer    schedule 03.11.2013    source източник


Отговори (1)


Решението включва няколко неща:

1) Трябва изрично да нулирате сигналите с първия порт във всеки процес. Инициализирането им в тяхната декларация просто не го отрязва. След това кодът на процеса с правилно нулиране и списък на чувствителността трябва да изглежда така:

PUSH : process (rst, clk)
begin
    if (rst = '1') then --supposing active-high async. reset
       WEA <= (others => '0');
       ADDRA <= (others => '0');
       dina <= (others => '0');
       data_out <= (others => '0');
       full <= '0';
       empty <= '0';
       stack_ptr_write <= (others => '0');
    elsif(clk'event and clk='1') then
       --your code

2) Разбирам, че имате няколко слоя/опити код тук на едно и също място. Това е разхвърляно за четене. Виждам, че използвате "mem", за да запазите примера си (така че WEA, ADDRA, dina и т.н. са игнорирани), но когато се върнете към BRAM_32_1K_SP, не забравяйте да проверите, че има 32-битови адреси, които, съчетани с 32-битови данни, означава, че имате 32 * 2**32 бита RAM... което е около 128 Gbits, правописна грешка предполагам.

Въпреки това, за да направите по-ясен въпрос, трябва да оставите само кода, отнасящ се до решението за памет, с което имате проблем.

3) вашият код включва някои правописни грешки, които трябва да коригирате, като присвояване на "doutb" в процеса, докато предполагам, че сте искали да присвоите data_out вместо това:

data_out <= mem(to_integer(unsigned(stack_ptr_write - 1)));

И това е причината да не виждате това, което искате на изхода.

person Galland    schedule 11.11.2013
comment
В крайна сметка аз и моят ръководител не можахме да разберем какво не е наред, така че вместо това сега правя заключение за BRAM (по-добро и за преносимост на дизайна). И да, ще призная, че примерът, който дадох, беше объркан и объркан след много опити! Но благодаря за отделеното време. Сам - person Sam Palmer; 11.11.2013