Как да получа данни от клавиатура за Basys2 FPGA с помощта на VHDL?

Както подсказва заглавието, как можем да получим данни от клавиатурата към Basys2 FPGA използвайки VHDL?


person makyol    schedule 25.05.2011    source източник
comment
Това е твърде общ въпрос, за да получите отговор.   -  person Will A    schedule 26.05.2011
comment
Нямам идея как мога да го направя, затова го попитах. Можете ли да предоставите урок или някаква информация?   -  person makyol    schedule 26.05.2011
comment
Първата стъпка е да проучите PS/2 протокола. Ето случаен ресурс, който намерих. Ще трябва да изградите сериен приемник (подобен на RS- 232). Какво разбрахте досега?   -  person Andy    schedule 26.05.2011


Отговори (1)


Сигурен съм, че с борда дойде някакво референтно изпълнение - просто го погледнете, как са го направили. Това, от което се нуждаете, е как щифтът PS/2 да се свърже с щифтовете на FPGA (сигурен съм, че е в някакъв вид ucf файл). След това имате сигналите и можете да правите каквото искате с тях.

Както напр. Анди вече предложи, трябва да погледнете протокола PS/2, ако наистина искате да го разбиете (предполагам, че в референтния им дизайн те имат само някакъв софткор на FPGA и го обработват повече или по-малко софтуерно).

person flolo    schedule 26.05.2011