Свързани въпроси 'modelsim'

пост порта ниво симулация в modelsim
Опитвам се да направя симулация на ниво post gate за конвейерен процесор. Имам нетния списък във формат vhdl и сега трябва да го симулирам отново, за да съм сигурен, че функционалността е веднага след синтеза. Проблемът е, че имам 2 RAM-а, единият...
528 изгледи
schedule 11.11.2023

Предупреждение на Modelsim: не означава порт
Аз съм симулация на LEON3 с modelsim. След make vsim получавам do-файл, който използвам за извикване на modelsim. Дава ми предупредително съобщение и не знам дали това съобщение сега е уместно. Всъщност не знам какво означава. # ** Warning:...
195 изгледи
schedule 13.12.2023

VHDL изходът внезапно е недефиниран, въпреки че компилацията е преминала
Аз съм студент със задача да създам и тествам пълен суматор, използвайки VHDL за използване в бъдеща задача. Работеше перфектно преди няколко дни, но опитах отново да симулирам днес (на различен компютър) и всичките ми входове и изходи вече са...
940 изгледи
schedule 28.11.2023

Неизвестна стойност по време на симулация Carry Look Ahead с CMOS
Аз съм нов във Verilog. Беше ми възложено да напиша 4-битов CLA, използвайки pmos и nmos примитиви. Намерих уебсайт с подробности за схемата: Проектиране на VLSI системи CLA е на 6.5.3. Използвам статичната реализация. Започвайки от схемата...
293 изгледи
schedule 26.01.2024

vhdl пакет сигнали modelsim wlf
Използвам симулация на командния ред на Modelsim и създавам WLF на всички сигнали. Езикът е VHDL. Проблемът е, че имам много сигнали, дефинирани във VHDL пакет, но тези сигнали не са налични в WLF след приключване на симулацията. Има ли някаква...
295 изгледи
schedule 03.12.2022

Как работи присвояването на сигнали в процес?
Научих, че сигналът не се променя веднага, когато срещне израз, а когато процесът приключи. В този пример тук: ... signal x,y,z : bit; ... process (y) begin x<=y; z<=not x; end process; Примерът казва следното: Ако сигналът y...
22682 изгледи
schedule 07.02.2024

VHDL процес if-then-else-if оператор
Редактирах тази тема, за да актуализирам целия си нов проект и да го направя по-четлив: --Propagate & generate team-- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY PG_team_1bit IS PORT(a, b:IN STD_LOGIC;...
4373 изгледи