Свързани въпроси 'quartus'

Quartus поддържа ли синтез в паметта?
Работя по проект, който генерира голям брой компоненти. Имам проблем, че Quartus генерира изключително голям брой файлове в директорията /db, от порядъка на стотици хиляди. Системата, върху която работя, има ограничено място за съхранение, което...
20 изгледи
schedule 22.09.2022

8 x 1 мултиплексор във verilog, синтактична грешка 10170
Опитвам се да създам 8 x 1 мултиплексор във Verilog. Когато стартирам анализ и синтез на кода, продължавам да получавам грешка. Ето моят код: // 8 x 1 line multiplexer module KuchtaClayton_HW7_P6(output Y, input [2:0] S, input [7:0] I);...
4147 изгледи
schedule 16.12.2023