Свързани въпроси 'synthesis'

пост порта ниво симулация в modelsim
Опитвам се да направя симулация на ниво post gate за конвейерен процесор. Имам нетния списък във формат vhdl и сега трябва да го симулирам отново, за да съм сигурен, че функционалността е веднага след синтеза. Проблемът е, че имам 2 RAM-а, единият...
528 изгледи
schedule 11.11.2023

Грешка при синтез на VHDL код
Написах VHDL код, който реализира функционалността на PWM контролер. Симулирах го успешно и резултатите са според очакванията. Проверих и синтаксиса за синтез, но той не показа грешка. Когато отидох да го синтезирам с помощта на XILINX ISE 12.4,...
884 изгледи
schedule 14.11.2023

Аудио синтезатор с непрекъсната вълнова форма
Започвам да пиша мек синтезатор с особена характеристика: Осцилаторите ще имат копче за "непрекъсната форма на вълната", което ще позволи на потребителите да избират синусоидални, квадратни и зъбни вълни по непрекъснат начин. Тоест, ако копчето е...
2334 изгледи
schedule 16.02.2024

Обща програма за адитивен синтез
Опитвам се да напиша обща c програма за адитивен синтез, която ще генерира сложна синусоида, създадена от поредица от чисти синусоиди с произволна честота, следващи една обвивка. Входният файл ще бъде нещо подобно F0 P0 // a...
815 изгледи
schedule 18.05.2024

Мога ли да използвам масив 'arr[x][y]' вътре в винаги блок? Може ли да се синтезира?
always@(posedge clk) begin r00<=r01; r01<=r02; r02<=arr[x][y]; //code end това ще може ли да се синтезира в генериран блок? Също така, че "arr" е двуизмерен.
128 изгледи
schedule 16.11.2022