Вопросы по теме 'cadence'

Скрипт TCL больше не работает
Раньше я запускал tcl-скрипт для инструментов Cadence на сервере, однако теперь этот скрипт не запускается. Сценарий основан на следующем: #### Template Script for RTL->Gate-Level Flow #### all basic steps except for DFT-scan #### Fill in the...
1183 просмотров
schedule 27.10.2022

Настройка зондов для SimVision в коде Verilog
Я работаю над симуляцией цифровой логики, построенной на Verilog, и мне нужно очень часто перезапускать симуляцию, чтобы увидеть изменения. Я использую Cadence SimVision для просмотра сигналов. Есть ли способ написать команды на verilog для среды...
11475 просмотров
schedule 17.04.2024

Установка P-Cell (FreePDK45)
Я пытаюсь заставить работать эти инструкции . У меня возникли некоторые трудности на этом пути, было бы очень полезно, если бы вы могли указать мне правильное направление! Я попытался следовать инструкциям на веб-сайте. Я не совсем понял шаги 2...
471 просмотров
schedule 31.10.2022

Ruby: аргументы проверки типов, похожие на Cadence SKILL
В Cadence SKILL (проприетарный язык EDA, основанный на LISP & SCHEME) можно определить типы аргументов в процедуре. Если будет задан неверный тип аргумента, произойдет ошибка. См. отчет оболочки ниже: procedure( foo( ko "t" ) printf( "Hey %s\n"...
100 просмотров
schedule 13.12.2023

Как понять, какой SystemVerilog поддерживается компилятором Cadence XMVLOG?
Мне нужно перенести среду моделирования SV с Questa на Xcelium 20.9. У меня проблемы с компиляцией файлов с помощью xmvlog , тогда как с vlog проблем нет. Итак, вот что я сделал. Убедитесь, что цепочка инструментов установлена ​​правильно:...
143 просмотров